#chisel

chisel入门2--生成verilog

 新建文件GCD.scala1importchisel3._23classGCDextendsModule{4valio=IO(newBundle{5vala=Input(UInt(32.W))6valb=Input(UInt(32.W))7vale=Input(Bool())8valz=Output(UIn...